key: cord-0070523-ynrzbmt8 authors: Lee, Hyunseop; Kim, Hyoungjae; Jeong, Haedo title: Approaches to Sustainability in Chemical Mechanical Polishing (CMP): A Review date: 2021-11-26 journal: Int DOI: 10.1007/s40684-021-00406-8 sha: 12d4104344c0972f4b521b9b754b5e232f68444f doc_id: 70523 cord_uid: ynrzbmt8 Chemical mechanical polishing (CMP) is an essential planarization process for semiconductor manufacturing. The application of CMP has been increasing in semiconductor fabrication for highly integrated devices. Recently, environmental burden caused by the CMP process was assessed because of interest in the global environment. In this study, the previously reported impacts of CMP on the environment and studies conducted on developing various methods to reduce environmental burden are reviewed. In addition to analyzing the impacts of CMP, this paper introduces a method for treating CMP wastewater and improving the material removal efficiency through the improvement of CMP consumables. Finally, the authors review research on hybridization of the CMP process and discuss the direction in which CMP technology will progress to improve sustainability in the future. Chemical mechanical polishing (CMP) uses chemical reactions and mechanical forces for material removal [1] [2] [3] . Although CMP is a planarization method that uses particles that can cause killer deflect such as a scratch, it has the longest planarization length, compared to existing planarization processes, making it essential for device fabrication. The basic mechanism of the CMP process is the mechanical removal of the chemically softened material surface [4, 5] . Figure 1 shows a schematic of the CMP process and material removal mechanism. The wafer and polishing pad are attached underside of the carrier and on the platen, respectively. A slurry containing abrasive particles and chemicals is supplied over the polishing pad. The polishing head presses the wafer on the pad's surface. The wafer and polishing pad are rotated counterclockwise, as a result of which the slurry enters the interface between them. Pad conditioning is performed using a diamond disk to clean and control the pad surface [6] . CMP is used for global planarization of surfaces in semiconductor manufacturing, such as shallow wrench (STI) isolation [7, 8] , planarization of inter-layer dielectric (ILD) [9] , formation of tungsten (W) contact [10, 11] , and formation of metal wiring [12] . The associated market is growing significantly as the demand for faster and better electronic equipment such as smartphones and artificial intelligence devices, increases. The main factors contributing to the evaluation [13] of the CMP results are as follows. (a) Material removal rate (MRR) (b) Within-wafer non-uniformity (WIWNU) (c) Wafer-to-wafer non-uniformity (WTWNU) (d) Chemical and physical defects For a long time, CMP engineers and users have been working to obtain uniform and high MRRs and defect-free surfaces for planarizing various materials. Lee and Sung [14] summarized the research topics related to CMP over the last 5 years in Elsevier DB and Springer DB (243 papers in 64 journals) (Fig. 2) . Of the total studies, about 71.2% of the studies are on process analysis and chemical and mechanical effects, and most of the studies consist of understanding the mechanisms of material removal and improving CMP efficiency. However, recent changes in global climate environment has increased the interest in sustainability of the semiconductor and CMP industries. Academics are also conducting research on various methods to assess the impact of semiconductor processes on the environment and reduce the environmental burden. Further, the interest in improving process efficiency for reducing energy consumption in the semiconductor processes is increasing. In this paper, we review the impacts of the CMP process on the environment and various research results to improve sustainability and discuss the future of CMP technology development. This paper analyzes the environmental impact of the CMP process and summarizes the development of CMP slurry and slurry injection systems, abrasive pads, and the hybridization of the CMP process. Efforts have been made in the semiconductor industry to reduce greenhouse gase (GHG) emissions since the ratification of the Kyoto Protocol in 2005. To reduce the emission of GHGs in semiconductor processes, researches on the environmental impact of unit processes are needed. Although there have been various studies on the environmental burden caused by semiconductor production, studies on the environmental burden caused by the CMP process are limited. In the CMP and Post-CMP cleaning processes, various chemical solutions and materials are used as consumables for slurry, cleaning solution, polishing pad, conditioner, etc. CMP consumables are manufactured from raw International Journal of Precision Engineering and Manufacturing-Green Technology materials and transported to chipmakers through various means of transportation. Consumables for CMP are supplied from manufacturers to semiconductor fab, most of which cannot be reused after CMP. Water and electricity are used in the production of consumables and semiconductor chips. In particular, the CMP process is known to use a significant amount of water in the semiconductor industry. Figure 3 shows the schematic of materials and energy flow for CMP and CMP consumable. In the study of life cycle assessment (LCA) in semiconductor production, analyzing the CMP process is primarily concerned with electric energy consumption and ultrapure water (UPW) consumption. Krishnan et al. [15] assessed the environmental impacts caused by the interconnect process of fabricating a logic device (130 nm node with eight layers of copper wiring) using environmental toxicology and chemistry (SETAC) and economic input-output LCA (EIO-LCA) [16] . They evaluated that CMP uses a large amount of facility water and generates abundant liquid waste. In their study, the overall energy consumption in the semiconductor process, excluding infrastructure, was assessed at 406 kWh/wafer. However, they did not report the environmental burden caused by the CMP process. Murphy et al. [17] reported the power requirements for semiconductor fabrication. In their study, the power requirement for the CMP process in microprocessor wafer fab was 29 kW during the process and 8 kW when idle. In the manufacturing process of eight-and six-layer metal microprocessors, CMP is applied 18 times and 14 times, respectively, and one wafer per run and 25 wafers per hour are processed. In the semiconductor manufacturing process using 200 mm wafers, the eight-and six-metal layer devices require 406 kWh/wafer and 336 kWh/wafer of energy, respectively. Although their research does not provide an accurate value of the energy required for CMP, their report indicates that approximately 20 kWh/wafer would be sufficient. Their research did not consider the energy required for infrastructure, but showed that CMP accounts for approximately 5-6% of the total energy requirement for the semiconductor process. According to Krishnan's research [18] , considering the energy required for the facilities relating to semiconductor production, the energy consumed by the CMP is less than 1% of the total energy requirement. According to research results, the energy consumption of CMP in semiconductor processes has various results depending on the type of chips applied, the number of CMP processes, and the area of production. However, as CMP uses a large amount of water and slurry containing chemicals, various elements that are consumed during the CMP process must be considered to assess the environmental burden. In particular, CMP accounts for approximately 40% of water consumption in the semiconductor industry [19, 20] . In addition, CMP generates 30-50 L of waste slurry per 200 mm wafer [19, 21] . After CMP, the wastewater containing water, various chemicals, and slurry particles is disposed of after removing the particles through electrodecantation and electrocoagulation. Details of the CMP wastewater treatment are described in Chapter 3. Lee et al. [22] studied the environmental burden caused by CMP, including the effects of using electrical energy, UPW, and slurry in the SiO 2 CMP process. They considered the various steps in the CMP process, such as idling, conditioning, getting, wafer loading, head dropping, polishing, rinsing, and wafer loading, and measured the electrical energy, slurry, and UPW consumption used for each step (Fig. 4) . Reportedly, under the same pressure condition, the power demand (kW) increases linearly with an increase in the relative velocity, showing a linear relationship with the MRR. The GHG emission index for electricity used in South Korea [23] was used to convert the electric energy consumption into GHG emissions, such as CO 2 , CH 4 , N 2 O, and carbon dioxide equivalent (CDE, CO 2 -eq). In addition, the equivalent CO 2 generation for the gases generated by SiO 2 CMP was calculated by removing the film of the target thickness using the electrical energy consumption measured through the experiment and carbon intensity of UPW purification and slurry reported in the existing study, as shown in Fig. 5 . According to Passmann and Joergensen [24] , UPW purification requires 0.022 Wh/ml of electrical energy. According to the chemical LCA data source provided by Boyd et al. [25] , referring to Kim and Overcash's gate-to-gate LCA [26] , the CDE generated while using the oxide CMP slurry is 0.2704 gCO 2 -eq/ml. Lee et al. [27] proposed a mathematical model-based evaluation methodology to develop a CMP model based on the mechanical contact [28] and kinematic models [29, 30] for analyzing the environmental burden caused by CMP. They classified the consumables in the CMP as long lifespan CMP countable and short lifespan CMP countable and defined them as follows. (a) Long lifespan CMP consumables: consumables that are replaced after using for a certain period in the CMP process, such as polishing pads, conditioners, retaining rings, and backing films. (b) Short lifespan CMP consumables: consumables that are discarded after being used only one time in a CMP process, such as CMP slurry and UPW. Lee et al. [27] proposed the environmental burden assessment method to obtain the process time required to remove the targeted film thickness through the MRR model. Then, the electrical energy consumption, slurry consumption, and UPW consumption during the process time are calculated and converted to CDE (Fig. 6 ). In their study, the environmental burden caused by CMP may have been underestimated compared to that measured in the industry because they used a CMP machine with a single platen (CMP machine for R&D). Previous studies have shown that the use of slurry in CMP must be reduced to decrease the significant environmental burden caused by it. However, the chemical composition of CMP slurry depends on the material to be removed, which changes the environmental burden depending on the slurry component. Few studies have reported on the environmental burden caused by changes in the slurry components. Lee [31] assessed the environmental burden caused by CMPs when hydrogen peroxide (H 2 O 2 ), citric acid (C 6 H 8 O 7 ), benzotriazole (BTA; C 6 H 5 N 3 ), and abrasive particle concentration change in copper CMP slurry. They used H 2 O 2 as an oxidizer, citric acid as a chelating agent, and BTA as a corrosion inhibitor. They evaluated the CDE of CMP based on the following expression [31] . where CDE e refers to the CDEs of electric energy consumption, including the pad conditioning and polishing steps. CDE s refers to the CDEs during wetting and polishing. CDE U denotes CDEs during idling, pad conditioning, and rinsing. CDE etc represents CDEs of long lifespan consumables, and Lee's research assumed that its effect is negligible. In the study, the carbon intensities of UPW, H 2 O 2 , citric acid, BTA, and abrasive particle were 0.0104 gCO 2 -eq/g, 1.0 gCO 2 -eq/g, 0.26 gCO 2 -eq/g, 1.4 gCO 2 -eq/g, and 0.26 gCO 2 -eq/g, respectively. According to Lee's study, the BTA used as the corrosion inhibitor exhibited the greatest environmental burden, followed by H 2 O 2 and abrasive particles, while citric acid caused the lowest environmental burden. These results show that oxidizer, complexing agent, and abrasive reduce processing time by increasing material removal rates, but BTA prevents excessive etching of the lower area of the pattern in the pattern CMP, thereby increasing planarization efficiency. Therefore, it is desirable to balance environmental burdens and productivity by properly adjusting the concentration within the slurry. Zhang et al. [32] introduced H 2 O 2 , malic, and citric acid-based slurry for environment-friendly CMP for mercury cadmium telluride (MCT) semiconductors. Therefore, extractable acids from fruits may help reduce the environmental burden caused by using slurry in CMP. CMP wastewater is produced during CMP and post-CMP cleaning processes. Therefore, CMP wastewater includes abrasive particles and chemicals used in CMP slurry and post-CMP cleaning. For example, abrasive particles, such as silica (SiO 2 ), alumina (Al 2 O 3 ), magnesia (MnO 2 ), ceria (CeO 2 ), and zirconia (ZrO 2 ), including oxidizers for metal CMPs, such as H 2 O 2 , CuSO 2 , and KMnO 4 , may be included in CMP wastewater [33, 34] . Chemical agents, such as surfactants, buffing agents, complexing (or chelating) agents, and corrosion inhibitors, are also included in wastewater [33, 34] . According to Lo's study [21] and previous studies on CMP [2, [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] , CMP and post-CMP cleaning wastewater contains various chemicals and materials, as listed in Table 1 . Nanomaterials (NMs) of SiO 2 , CeO 2 , and Al 2 O 3 are used in liquid slurries for numerous industrial applications, such as CMP processes, and used multiple times during the production of computer chips. These NMs are dispersed into CMP slurry, used once and released into the sewer. The global production of these three NM CMP slurry materials exceeds 5000 ton per year, making them one of the highest in global nanomaterial production. [43] . Among them, CeO 2 nanoparticles market is projected to reach USD 630.2 [44] . Therefore, CMP wastewater can be seen as a major source of NM in sewer systems, and eventually in the environment. From the CMP application, approximately 5% of the CeO 2 mass may reach in the natural environment. The on-site industrial treatments include precipitation of metals or sorption of pollutants onto the precipitated materials. Precipitated materials are gravitationally settled and disposed of in landfills, as shown in Fig. 7 . The materials that are not removed are discharged into municipal sewer systems, and they enter municipal wastewater treatment plants that often use biological treatments designed to remove the nutrients (carbon, nitrogen, and phosphorous), but are also capable of removing the nanomaterials [43] . To satisfy the national and domestic regulations of the semiconductor industry, CMP wastewater must be properly treated for environmental safety. For example, Taiwan recycles 80% of the water used in IC factories [45] . The CMP wastewater treatment process is divided into two main categories [21]. (a) Combination of chemical coagulation and advanced treatment: gravity sedimentation, membrane filtration and dissolved air flotation after chemical coagulation (pretreatment). (b) Direct use of filtration and electrocoagulation: ultrafiltration, electrocoagulation /electrodecantation, or microfiltration using an external electric field. Gravity sedimentation uses the gravitational force to separate solid and liquid in the CMP wastewater. Lo and Lo [21] introduced a ceramic filtration method combined with chemical coagulation pretreatment. Liu et al. [46] studied a dissolved air flotation (DAF) of CMP wastewater, and their proposed treatment system was able to finish the floatation reaction in less than 5 min. Tsai et al. [47] proposed a coagulation process for CMP wastewater using nanobubbles, with a 10-20% recycle ratio of CMP wastewater within 1 h of hydraulic retention time. Lien and Liu [48] treated CMP wastewater by using a dispersed air flotation (DiAF). They demonstrated that they could effectively remove particles from the wastewater by using a collector of cationic surfactant, cetyltrimenthyl ammonium bromide (CTAB) in DiAF process. However, it is necessary to remove additional surfactants within the wastewater and the high turbidity is a disadvantage. Lin and Yang [49] utilized chemical coagulation and reverse osmosis (RO) with a microfilter for removing oxide particles and inorganic/organic pollutants. One of the efficient ways to remove particles from CMP wastewater is by filtration using membrane. Browne et al. [50] showed that the oxide particles suspended in the CMP wastewater could be remove with an ultrafiltration (UF) method. Juang et al. [51] used UF and RO separation method at the same time, and they were able to eliminate 99% of fine particles through UF pretreatment. Sanusi et al. [52] recycled spent tungsten CMP slurry using various ultrafiltration membranes such as polysulfone (PS), polyethersulfone (PES), and polyvinylidene fluoride (PVDE). Electrocoagulation (EC) is one of the most efficient and inexpensive technologies that can increase turbidity of wastewater by removing particles from wastewater [53] [54] [55] [56] . Belongia et al. [57] showed that alumina and silica particles of 200 nm in diameter could be precipitated from suspension. Den and Huang [58] proved that nano-sized silica particles can be removed from CMP wastewater using continuous-flow EC processes with vertical-channels. Lai and Lin [59] said the use of Al and Fe as anode and cathode in the EC process of CMP wastewater would be advantageous for Cu removal and turbidity reduction. They then used the electrocoagulation system to study the sludge setting characteristics in Cu CMP wastewater [60] . Drouiche et al. [61] showed that oxide particles, fluoride and sulfate ions contained in CMP wastewater from photovoltaic wafer manufacturer can be removed through EC. Electrodecantation (ED) is a separation and purification method of colloidal dispersions based on electrophoretic migration of colloids. Stamberger [62] used a ED method to purify and concentrate colloidal dispersions. He showed that ED is one of the ways to efficiently remove small particles from wastewater. Belongia et al. [57] . used electrodecantation and electrocoagulation simultaneously to remove alumina and silica particles. Some researchers have proposed an electro-microfiltration method to increase the efficiency of microfiltration for CMP wastewater. Weigert et al. [63] studied a crossflow electrofiltration using a polyamide membrane and were able to significantly increase specific permeate rate compared to microfiltration without electric filed. Sung et al. [64] used a Gortex™ (Maryland, USA) membrane for the electrofiltration of alumina and silica colloids. Yang et al. [65] [66] [67] conducted a series of studies that applied crossflow electrofiltration to the treatment of CMP wastewater. They proposed an electrically enhanced crossflow microfiltration (EECMF) method [65] , electrofiltration/electrodialysis process [65] , and tubular TiO 2 /Al 2 O 3 composite membranes [67] for treating CMP wastewater. CMP wastewater treatment systems include collection, pH adjustment, oxidizer removal, organics removal, suspended solid treatment, and trace metal removal [68] . The water separated from the CMP wastewater is recycled for reuse. However, research on the reuse of abrasive particles separated from CMP wastewater is not well known. Lee et al. [69] recycled CMP abrasive particles as a resource in concrete. They compared cylindrical specimens of CMP sludge-blended cement concrete (SBCC) with ordinary Portland cement concrete (OPCC) specimens. Their research shows the possibility of replacing some of the cement with CMP sludge, and provides a good example of recycling abrasive particles in CMP wastewater. Owing to the nature of CMP applied to semiconductor processes that require high reliability, the reuse or recycling of slurries has not been successful until now. Considering the trend that the gate size shrinks from several tens of nanometers to several nanometers in future, the lack of guarantee of the integrity of CMP results can make it difficult to reuse the slurry. The number of CMP process steps and the amount of slurry used are expected to increase in future. To reduce the total amount of slurry used, it is necessary to optimize the amount of slurry used in the individual CMP process steps. As the slurry consists of a mixture of abrasives and the solution, considering the amount of CO 2 generated during the production and disposal of particles, it is beneficial for the environment to use a slurry with a low abrasive concentration or an abrasive-free slurry even with the same amount of slurry used [70] . Additionally, considering that the amount of slurry used is proportional to the product of flow rate and polishing time, the total amount used can be reduced by completing the given CMP process within the minimum time at the optimum flow rate. The methods proposed in studies for reducing the environmental burden caused by the use of slurry in the CMP field are classified as follows. (a) Increasing MRR by strengthening the chemical reaction [71] and mechanical material removal (b) Reducing abrasive concentration or using an abrasivefree slurry (c) Minimizing additional polishing time by improving the uniformity of polishing (d) Increasing slurry participation in material removal using a slurry injection system However, there is a limit to reducing the environmental burden caused by CMP by increasing the MRR through changes in slurry components. Changes in the slurry components should be determined by considering the environmental burden caused by the use of the slurry itself and the reduction in processing time owing to the increase in MRR. Mixed-abrasive CMP methods have been introduced to increase mechanical material removal by simultaneously using different types of abrasives, such as SiO 2 , Al 2 O 3 , CeO 2 , ZrO 2 , TiO 2 , and MnO 2 . Jindal et al. [72] has shown that without adding any chemical additives, mixed abrasive slurry (MAS) composed of Al 2 O 3 and SiO 2 particles can achieve higher MRR and more desirable Cu/Ta/SiO 2 selectivity. Seo and Lee [73] found that SiO 2 -TiO 2 MAS is more efficient in terms of reducing the surface roughness of Ba 0.6 Sr 0.4 TiO 3 (BST) compared to SiO 2 , Al 2 O 3 , and TiO 2 single abrasive slurry for BST. Park et al. [74] diluted KOHbased fumed silica slurry in SiO 2 CMP at a ratio of 1:10 with DIW and added ZrO 2 particles to the slurry to investigate the effect of ZrO 2 concentration and dispersion time on the CMP results. Lee and Jeong [75] proposed an MAS for mixing two KOH-based colloidal slurries with different particle sizes. They used colloidal silica particles with 30 nm and 70 nm diameters with 30 wt% abrasive concentration, indicating the highest MRR when diluted at 2:1 ratio. In addition, MAS using two SiO 2 heterogeneous particles of different shapes has been reported [76, 77] . MAS consisting of CeO 2 and SiO 2 has also been studied for SiO 2 CMP and has been reported to be capable of obtaining a higher MRR than a single abrasive slurry (SAS) [78, 79] . Lee et al. [80] proposed MAS for SiC CMP using nanodiamond and colloidal silica particles and improved the MRR using diamond and surface roughness using soft silica particles. Table 2 presents the details of previous CMP studies on MAS and target materials. Figure 8 shows the mechanism on MAS CMP. The previously introduced mechanisms of MAS CMP are as follows. (a) Large particles are coated with small particles by the charge difference between the particles (Fig. 7a ) [72] . (b) Large and small particles participate in material removal independently; large particles serve the function of material removal, and small particles cause improvement in the surface roughness (Fig. 7b ) [75] . In CMP, the abrasive particles play a role in increasing the MRR; however, they sometimes cause defects, such as scratches. Abrasive particles from natural resources are becoming increasingly depleted. Therefore, from an environmental perspective, there is a growing interest in abrasivefree CMP (AF-CMP). It is known that AF-CMP can improve CMP productivity by reducing scratches as the abrasive particles after CMP do not remain on the surface of the wafer; the post-CMP cleaning process is convenient and can reduce dishing and erosion defects [81] [82] [83] . Research on AF-CMP has focused on metal CMP, which largely relies on chemical reactions instead of mechanical material removal. Ramakrishnan et al. [84] compared dicarboxylic acids, such as oxalic acid, malonic acid, succinic acid, and glutaric acid, used as complexing agents in AF-CMP solution for Cu. They found that oxalic acid and malonic acid solutions showed high MRR in AF-CMP for copper. Yang et al. [85] reported that glycine acts as a corrosion inhibitor in alkaline H 2 O 2 based AF-CMP solution for molybdenum (Mo), which is used as an electrode in solar cells and switches for liquid crystal displays (LCDs). Hara et al. [86] proposed a new abrasive-free planarization method called catalyst-preferred etching (CARE) for SiC substrates. They used a Pt solid pad as a catalyst in a hydrofluoric acid solution instead of a polyurethane pad, which is commonly used for CMP pads. Pandija et al. [87] studied oxalic acid as a complexing agent for an H 2 O 2 -based abrasive-free Cu CMP solution. They obtained the maximum MRR at pH 3 with 0.065 M oxalic acid and 5 wt% H 2 O 2 . The amount of slurry used in individual processes has a direct correlation with WIWNU. Assuming an ideal CMP process in which the WIWNU is 0%, the CMP process time has a minimum value. However, as the WIWNU deteriorates, the polishing time increases to polish the remaining thin film even after the film materials in a partial area of the wafer are completely removed [88] ; thus, the amount of slurry per wafer increases. Therefore, when the WIWNU is high, the deviation in the flatness of the device in the wafer increases, but the amount of slurry used increases proportionally [89] . The most dominant factor that influences the WIWNU is the uniformity of pressurization of the membrane [90] [91] [92] . Therefore, improving the uniformity of polishing by developing a high-performance multi-zone membrane structure is a key research direction for reducing the amount of slurry and improving the device yield. In addition, WIWNU is directly affected by the flatness of the pad [6] along with the slurry supply method [93] [94] [95] ; the effect of the flatness of the pad on WIWNU will be discussed in the next section. In one of the studies on slurry supply method, the slurry is supplied in the form of a spray over the pad surface, which results in a high polishing rate and low WIWNU with a small amount of slurry ( Fig. 9 ) [93] . The authors have compared a conventional tube slurry and spray slurry nozzle at different slurry flow rates and spray nozzle heights. The experimental results based on slurry flow rate showed that the spray nozzle had a higher MRR and lower WIWNU than the conventional nozzle, resulting in a 21% and 52% slurry flow rate reduction. Therefore, this method can reduce the amount of slurry used. Liao et al. [94] proposed a crescent-shaped slurry injection system for CMP (Fig. 10) . The proposed slurry injection system was placed adjacent to the retaining ring, allowing fresh slurry to be supplied more efficiently to the leading edge of the retaining ring. Araca Incorporated introduced Araca slurry injection system (SIS) with multiple injection points for uniform bow wave thickness of slurry around retaining rings [95] . As of 2020, approximately 2.5-3 million CMP polishing pads are expected to be used annually worldwide, with an annual growth rate of 5.8% [96] . Assuming that the thickness of one pad is 2 mm, if the polishing pads used every year are stacked in a row, the height becomes 4 km. The total amount of discarded pads can be reduced by increasing the life of the pads. When the uniformity of the pad cut rate (PCR) is uniform (0%), the lifetime of the pad is determined by the following equation. where T life is the lifetime of the pad; G, C, and PCR are the groove depth, proportion constant of the groove usage limit (0 ≤ C ≤ 1), and pad cut rate, respectively. As a rule of thumb, Eq. (2) shows that the lifetime of the pad can be increased with a low PCR, deep groove, and extended groove usage. However, a certain radial position of the pad is removed faster than other areas; hence, the factor that contributes the most to the pad life is premature profile deterioration due to improper conditioning processes and excessive pad cut rate more than necessary. Lee et al. [6] defined the pad profile with the following parameters: the maximum depth of pad wear (h max ), average depth of pad wear (h avg ), and horizontal distance from the wafer center to the position P where the maximum pad wear occurs (e), as shown in Fig. 11 . Their experiments showed that as the polishing pad wears unevenly by CMP conditioning, MRR decreases sharply after a certain point as h avg and h max increase, and WIWNU increases (Fig. 12) . Pad profiles can deteriorate earlier owing to improper conditioning processes. In this case, some grooves in the pad radius are overworn more quickly than in other areas; thus, the pad should be discarded, despite other areas of the pad being functional [97] . In addition, even when the conditioning recipe is optimized, the pad profile can easily deteriorate if the conditioner's gimbal resistance is not uniform with respect to the gimbal axis owing to the deterioration of the conditioner parts or components. In this case, the life of the pad is drastically reduced. Further, the life of the pad is greatly influenced by the width of the groove under the same conditioning process [98] . This is because the groove width directly affects the actual contact pressure delivered by the conditioner. The improvement in pad life increases its usage time and substantially contributes to reducing the cost of consumables (CoC) of the entire CMP process. Heuy et al. [98] reported that the pad cost contributes to approximately one-third of the total CMP CoC. In their case study, the average CMP pad life was found to be 250 wafers/pad with a variance of 100-400 wafers/pad. The pad CoC increases significantly for pad lives of < 350 wafers/pad. The pad CoC at 350 wafers/ pad is half that at 225 wafers/pad [98] . The current pad life has been increased to approximately 20-40 h depending on the users and processes; in terms of the number of wafers processed per pad, more than 2000 wafers can be polished with a single pad [99] . The reasons for this improvement can be summarized as the development of a high-precision conditioning system to realize low-pressure conditioning technology, a diamond conditioner that can maintain the cutting ability even at low pressures, and real-time pad profile control technology [100, 101] . Stable low-pressure conditioning technology is realized by the advancement of precise pressure control technology by reducing the friction in the mechanical pressurizing system and improving the precision of the pneumatic pressure control system. The advancement of a diamond conditioner that controls the amount and position of active abrasives to maintain the cutting ability even at low pressures plays an important role in extending the pad life [102] . In addition, constant cutting performance can be maintained for a long time using a chemical vapor deposition (CVD) diamond conditioner with a naturally uniform protrusion height of cutting tips, which realizes a low cutting depth throughout the conditioner surface [103] . Finally, the core of the conditioning profile control technology constitutes the development of a real-time pad profile measurement technology and a method to control the weighting function of the conditioning strength according to the pad radius using the result of profile measurement [104] . Using this technology can extend the pad lifespan and maintain pad thickness reduction below the required limit during the pad lifespan [100, 101] . The pad life depends on the conditioner's cutting speed (pad cutting rate; PCR). Closed-cell-type pads can maintain their polishing ability only when the surface roughness of the pad is formed by the conditioner. While pad conditioning has the advantage of maintaining the polishing performance, it has the disadvantage that the CMP characteristic cannot be maintained without conditioning stability of the pad. These shortcomings naturally lead to the study of micro-replicated patterned pads that do not require a conditioning process. The research conducted by Tseng et al. [99] using micro-replicated 3 M pads (MR pads) can provide important data for new pad studies where conditioning is not performed. In the case of the MR pad, the surface roughness was formed on the surface of the pad in advance, and it could be used in CMP without conditioning. According to the results, the MR pad achieved CMP process results with more consistent wafer-to-wafer stability and repeatability in removal rate, WIWNU, and defectivity up to 2000 wafer passes compared to a conventional pad with a diamond tip conditioner. A significant reduction in within-die non-uniformity (WIDNU) was confirmed by testing gate electrical conductance and transmission electron microscopy (TEM) measurement of the physical gate height. In addition, reduction in at-level metal loss defects after replacement metal gate (RMG) CMP and reduction in prior-level topography-driven defects, such as puddles and missing patterns, were observed after trench silicide (TS) CMP [99] . Research on these new pads can be applied to reduce conditioner waste and prevent microplastic contamination in wastewater. Recently, Son et al. [105] introduced a contact-areachangeable CMP conditioning method (Fig. 13 ) and controlled the pad wear profile by adjusting the contact area between the pad and conditioner during pad conditioning. They used contact-area-changeable conditioning to allow partially flat pad wear in the area where the polishing pad and wafer are in contact, thus increasing the pad's lifetime, compared to the conventional swing-arm conditioning method. Figure 14 shows the MRR distributions of conventional conditioning and contact-area-changeable conditioning. Fig. 13 Contact-area-changeable conditioning system and split conditioner ( Adapted from reference 105 (Open Access)) [105] Fig. 14 MRR distribution as a function of conditioning time: a conventional conditioning and b contact-area-changeable conditioning conditioner ( Adapted from reference 105 (Open Access)) [105] To increase the processing efficiency in CMP, high-speed and high-pressure conditions can be used. However, these conditions in the CMP can improve productivity by increasing the MRR, but are vulnerable to defects, such as scratches and delamination of thin films. Researchers are attempting to increase the MRR by simultaneously using other physical and chemical elements, in addition to chemical reactions in the CMP process. Kurokawa et al. [106] proposed a CMP method using a bell-jar-type CMP machine and KMnO 4 as an oxidizer. Belljar CMP is a method that increases the processing efficiency of the CMP by conducting it in a chamber to control the ambient pressure, thereby enabling the application of CMP in various gas environments. This method is reported to be able to improve the MRR by facilitating the oxidation of SiC substrates in nitrogen (N) and oxygen (O 2 ) atmospheres. To improve the MRR of hard-to-process crystals, Doi et al. [107] proposed a process method that combines plasmachemical vaporization machining (P-CVM) and CMP with a bell-jar-type CMP machine. Lee et al. [108] used an electrolytically ionized slurry for performing CMP of Cu. They used a DC power supply to ionize the slurry (ion-rich slurry) through the electrodes to increase the amount of OH radicals (-OH) in the slurry, thereby increasing the chemical reactivity of the slurry and Cu (Fig. 15 ). They proved that the MRR and its uniformity can be controlled by the applied voltage. Jo et al. [109] proposed a hybrid CMP slurry supply system using ionization and atomization (Fig. 16) . They ionized the CMP slurry in a slurry tank with an AC power supply and electrode. The slurry was sprayed over the polishing pad via a twin-fluid atomizer that used oxygen or nitrogen gas. Figure 17 demonstrates the slurry flow around the carrier and pad boundaries. The proposed slurry supply system distributes the slurry uniformly over the polishing pad, unlike in a conventional slurry supply system. In Cu CMP, the hybrid slurry supply system could increase the MRR by 23% and WIWNU decreased by 25%, compared with those in the conventional CMP system. Another method of activating OH radicals is photoelectrochemical (PEC) planarization using ultraviolet (UV) light and a catalyst. Li et al. [110] conducted a study to improve the MRR of GaN using hydroxyl radicals with UV light and iron. Murata et al. [111] used five polishing platens (non-metal, W, Ta, Ni, and Fe) that act as catalysts for chemical planarization of GaN. Among the five platens, the highest MRR was observed when the Fe platen was used as the catalyst. Wang et al. [112] also studied the photocatalytic reaction mechanism of GaN CMP with H 2 O 2 based slurry and UV light. They found that using a UVA lamp (wavelength: 400-315 nm) in GaN CMP resulted in a higher MRR than using UVC (wavelength: 280-190 nm) and incandescent lamps. UVA irradiation accelerates the decomposition of H 2 O 2 and production of hydroxyl radicals, thereby enhancing the oxidation reaction of GaN. Figure 18 shows a comparison of the material removal mechanisms of conventional GaN CMP and PEC planarization. Yuan et al. [113] investigated the CMP of 4H-SiC using UV-TiO 2 photocatalysis. Table 3 presents the aforementioned hybrid CMP systems. Various methods are being attempted to improve low MRR in CMP, but while there are positive effects of reducing time in the production process, it is unlikely that most alternative technologies have significantly reduced the environmental burden due to the additional use of new energy sources or devices. Therefore, it seems necessary to closely analyze the environmental impacts of the developed alternative processes. The coronavirus pandemic has exerted enormous pressure on world society and forced a host of changes in our lifestyle. When it recedes in the future, experts expect that the older and more familiar approaches to working would return. Harvard experts say that some of our adaptations have accelerated the existing trends, such as the development of a cashless society, increase in remote working, and decline in offline retail. In addition, they expect that some of these will become a more permanent part of the post-pandemic's new normal. The semiconductor industry will be a key driver in creating a new-normal society. Considering the huge amount of investment in the semiconductor industry from not only East Asia but also the USA and Europe, the change will be accelerated more rapidly as we estimate. CMP is one of the strongest manufacturing processes to realize next-generation devices, which will be necessary in the new-normal society. Figure 19 shows research topics of the papers covered in this review. From the Sustainability perspective, research on the environmental load of CMPs has been done in part in evaluating the overall semiconductor process. About 38% of the references in this review were on CMP wastewater treatment, and 23% were on CMP slurry. The rest of the research was also on CMP consumables and system improvements (Fig. 20) . The future of CMP technology can be summarized with three key words: expansion, digitalization, and sustainability. First, its application is broadly expanding to highly integrated chips, three-dimensional microelectromechanical systems (MEMS), fan-out panel level packaging (FOPLP), and defect-free substrates. CMP can remove Activation of OH radical [110] [111] [112] [113] more than 40 types of materials and find solutions for all types of materials in the near future. In addition, electrochemical mechanisms in CMP technology are expected to be applied not only to semiconductors and displays that have been traditionally applied, but also to other fields such as maskless electrochemical texturing [114, 115] . The second issue for future CMP is digitalization of a smart process. For more accurate and precise process control, we require digitalized consumables, such as a micropatterned pad without unnecessary conditioning, robust simulation, and automatic process control (APC). The CMP system will be integrated using artificial intelligence (AI) and extended reality (XR) for acquiring more robust APCs. Finally, as discussed in this paper, sustainability will be a hot issue to reduce environmental burden by minimizing the usage of CMP consumables, reducing process time, improving energy efficiency of CMP system, and improving throughput. In the future of CMP technology facing global environmental regulations, sustainability approaches are expected to be indispensable and should proceed with technology expansion and digitalization. Chemical and mechanical balance in polishing of electronic materials for defect-free surfaces Review on copper chemical-mechanical polishing (CMP) and post-CMP cleaning in ultra large system integrated (ULSI)-An electrochemical perspective Chemical mechanical planarization: Slurry chemistry, materials, and mechanisms Mechanical aspects of the chemical mechanical polishing process: A review Slurry components in metal chemical mechanical planarization (CMP) process: A review Investigation of pad wear in CMP with swing-arm conditioning and uniformity of material removal. Precision Engineering A dishing model for STI CMP Process Dishing and nitride erosion of STI-CMP for different integration schemes ILD CMP with silica abrasive particles: effect of pore size of cmp pad on removal rate profiles W CMP process integration: Consumables evaluation-electrical results and end point detection An optimization of tungsten plug chemical mechanical polishing (CMP) using different consumables Cu CMP process development and characterization of Cu dishing with 1.8 μm Cu pad and 3.6 μm pitch in Cu/SiO2 hybrid bonding Tribology research trends in chemical mechanical polishing (CMP) process Chemical mechanical polishing: a selective review of R&D trends in abrasive particle behaviors and wafer materials Using a hybrid approach to evaluate semiconductor life cycle environmental issues: A case study in interconnect module impacts Economic input-output models for environmental life-cycle assessment Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry A hybrid life cycle inventory of nano-scale semiconductor manufacturing Targeting water use for chemical mechanical polishing. Solid State Technology A pilot plant study using ceramic membrane microfiltration, carbon adsorption and reverse osmosis to treat CMP (chemical mechanical polishing) wastewater. Water Supply Evaluation of environmental impacts during chemical mechanical polishing (CMP) for sustainable manufacturing Greenhouse gas emissions Energy consumption model for semiconductor manufacturing industry Supplementary data for 'Life-cycle assessment of computational logic produced from 1995 through 2010 Energy in chemical manufacturing processes: Gate-to-gate information for life cycle assessment Mathematical model-based evaluation methodology for environmental burden of chemical mechanical planarization process A new nonlinear-microcontact model for single particle in the chemical-mechanical polishing with soft pad A wafer-scale material removal rate profile model for copper chemical mechanical planarization Modelbased control for chemical-mechanical planarization (CMP) Environmental impact of concentration of slurry components in thick copper CMP A novel approach of chemical mechanical polishing using environment-friendly slurry for mercury cadmium telluride semiconductors Chemical-mechanical planarization of semiconductor materials Chemical mechanical polishing in semiconductor processing The effects of strong oxidizing slurry and processing atmosphere on double-sided CMP of SiC wafer Determining the effects of slurry surfactant, abrasive size, and abrasive content on the tribology and kinetics of copper CMP The addition of surfactant to slurry for polymer CMP: Effects on polymer surface, removal rate and underlying Cu Dissolution inhibition in Cu-CMP using dodecylbenzene-sulfonic acid surfactant with oxalic acid and glycine as complexing agents Effect of chelating agent on benzotriazole removal during post copper chemical mechanical polishing cleaning Effect of chelating agent and ammonium dodecyl sulfate on the interfacial behavior of copper CMP for GLSI Application of a macromolecular chelating agent in chemical mechanical polishing of copper film under the condition of low pressure and low abrasive concentration Selection and optimization of corrosion inhibitors for improved Cu CMP and post-Cu CMP cleaning Control of nanomaterials used in chemical mechanical polishing/planarization slurries during on-site industrial and municipal biological wastewater treatment Application (Chemical Mechanical Planarization, Catalyst, Biomedical, Energy), and Region Chemical evidences for the optimal coagulant dosage and pH adjustment of silica removal from chemical mechanical polishing (CMP) wastewater. Colloids and Surfaces A Dissolved air flotation of polishing wastewater from semiconductor manufacturer Nanobubble flotation technology with coagulation process for the cost-effective treatment of chemical mechanical polishing wastewater. Separation and Purification Technology Treatment of polishing wastewater from semiconductor manufacturer by dispersed air flotation Chemical and physical treatments of chemical mechanical polishing wastewater from semiconductor fabrication Treating wastewater from CMP using ultrafiltration Treatment of chemical mechanical polishing wastewater for water reuse by ultrafiltration and reverse osmosis separation Ultrafiltration based on various polymeric membranes for recovery of spent tungsten slurry for reuse in chemical mechanical polishing process Electrocoagulation (EC)-science and applications Electrochemical coagulation of clay suspensions Electrocoagulation and separation of aqueous suspensions of ultrafine particles The operating cost of electrocoagulation Treatment of alumina and silica chemical mechanical polishing waste by electrodecantation and electrocoagulation Electrocoagulation for removal of silica nano-particles from chemical-mechanical-planarization wastewater Electrocoagulation of chemical mechanical polishing (CMP) wastewater from semiconductor fabrication Treatment of chemical mechanical polishing wastewater by electrocoagulation: System performances and sludge settling characteristics Electrocoagulation of chemical mechanical polishing wastewater The method of purifying and concentrating colloidal dispersions by electrodecantation Crossflow electrofiltration in pilot scale Enhancing the separation of nano-sized particles in lowsalt suspensions by electrically assisted cross-flow filtration Crossflow electro-microfiltration of oxide-CMP wastewater Reclamation of high quality water from treating CMP wastewater by novel crossflow electrofiltration/electrodialysis process Tubular TiO 2 /Al 2 O 3 composite membranes: Preparation, characterization, and performance in electrofiltration of oxide-CMP wastewater. Desalilnation Microelectronic applications of chemical mechanical planarization Recycling CMP sludge as a resource in concrete. Construction and Building Materials, 30 Abrasive-free and ultra-low abrasive chemical mechanical polishing (CMP) processes Optimization of slurry components for a copper chemical mechanical polishing at low down pressure using response surface methodology Chemical mechanical polishing using mixed abrasive slurries Chemical mechanical polishing of Ba 0.6 Sr 0.4 TiO 3 film prepared by sol-gel method. Microelectronic Engineering A study on the chemical mechanical polishing of oxide film using a zirconia (ZrO 2 )-mixed abrasive slurry (MAS). Microelectronic Engineering Analysis of removal mechanism on oxide CMP using mixed abrasive slurry Effect of mixing ratio of non-spherical particles in colloidal silica slurry on oxide CMP Effects of mixed abrasives in chemical mechanical polishing of oxide films Evaluation of oxidechemical mechanical polishing characteristics using ceria-mixed abrasive slurry Effect of diluted colloidal silica slurry mixed with ceria abrasives on CMP characteristic Hybrid polishing mechanism of single crystal SiC using mixed abrasive slurry (MAS) A low cost and residue-free abrasive-free copper cmp process with low dishing, erosion and oxide loss newly developed abrasive-free copper CMP slurry based on electrochemical analysis Why abrasive free Cu slurry is promising? MRS Online Rroceedings Library (OPL) Comparison of dicarboxylic acids as complexing agents for abrasive-free chemical mechanical planarization of copper Inhibition effect of glycine on molybdenum corrosion furing CMP in alkaline H 2 O 2 based abrasive free slurry Novel abrasive-free planarization of 4H-SiC (0001) using Catalyst Chemical mechanical planarization of copper using abrasive-free solutions of oxalic acid and hydrogen peroxide Multisensor monitoring system in CMP for correlations with process issues Optical endpoint detection for chemical mechanical planarization Prediction of polishing pressure distribution in CMP process with airbag type wafer carrier Effect of contact angle between retaining ring and polishing pad on material removal uniformity in CMP process A study on the influence of the cross-sectional shape of the metal-inserted retainer ring and the pressure distribution from the multi-zone carrier head to increase the wafer yield The effects of a spray slurry nozzle on copper CMP for reduction in slurry consumption Effect of slurry application/injection schemes on slurry availability during chemical mechanical planarization (CMP) A novel slurry injection system for CMP Global CMP Pads Sales Market Report Enhancement of CMP pad lifetime for shallow trench isolation process using profile simulation Technological breakthrough in pad life improvement and its impact on CMP CoC A microreplicated pad for tungsten chemical-mechanical planarization Real-time control system for improved CMP pad profiles Method and apparatus for dressing polishing pad, profile mesuring method, substrate polishinbg apparatus, and substrate polishing method Methodology for pad conditioning sweep optimization for advanced nodes Novel CVD diamond-coated conditioner for improved performance in CMP processes Dynamic pad surface metrology monitoring by swingarm chromatic confocal system Contact-area-changeable CMP conditioning for enhancing pad lifetime Characteristics in SiC-CMP using MnO 2 slurry with strong oxidant under different atmospheric conditions Novel chemical mechanical polishing/plasma-chemical vaporization machining (CMP/P-CVM) combined processing of hard-to-process crystals based on innovative concepts Surface activation by electrolytically ionized slurry during Cu CMP Hybrid CMP slurry supply system using ionization and atomization A study on Surface quality of GaN with CMP polishing process Chemical planarization of GaN using hydroxyl radicals generated on a catalyst plate in H 2 O 2 solution Mechanism of GaN CMP Based on H 2 O 2 Slurry Combined with UV Light UV-TiO 2 photocatalysis assisted chemical mechanical polishing 4H-SiC wafer Tribological behavior of gray cast iron textured by maskless electrochemical texturing Maskless electrochemical texturing of automotive cylinders. Materials Performance and Characterization Acknowledgements This work was supported by the Dong-A University research fund. Publisher's Note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations. Hyoungjae Kim is currently a principal researcher at Korea Institute of Industrial Technology, Busan, Korea. He received his bachelor's, master's and doctoral degrees from Department of Mechanical Engineering, Pusan National University. His research interests include studies related to CMP equipment design, process control and consumables, and he is also interested in wafer manufacturing processes used in power devices. Haedo Jeong received B.S. degree from Pusan National University, Korea in 1987 and the M.S. degree and Ph.D. in mechanical engineering from KAIST, Korea in 1989 and from University of Tokyo, Japan in 1994, respectively. From 1989 to 1991, he worked at government research institutes of KIST and KITECH, where he was responsible for abrasive machining process such as grinding and polishing. In 1994, he joined at Japanese government project with RIKEN and Fujikoshi machinery, Japan as a post-doc. researcher to develop a CMP equipment. From 1995, he is working as a professor in the school of mechanical engineering as well as a director of CMP Lab at Pusan National University. His research interests include wafering processes, chemical mechanical polishing and its post cleaning. He has authored more than 600 technical publications/presentations in the area of abrasive processing, 3D printing and CMP.