id author title date pages extension mime words sentences flesch summary cache txt work_rvkwzwr6mrdpfa3xaatodygocu Liqun Xu A Fast Optical Spectrum Data Acquisition Method Based on FPGA and DSP 2016 5 .pdf application/pdf 2166 301 77 This paper presents a fast CCD optical spectrum data acquisition method based on FPGA, FIFO and DSP. Introduces a linear CCD timing sequence control signal generation and high speed ADC interface with FIFO and DSP DSP, FPGA is used to generate variety CCD timing clock and asynchronized FIFO control signal. so by using this DSP chip, the CCD sampling optical spectrum data can be fast transmitted 3. Linear CCD Time Sequence Signal Generation and Optical Spectrum Data Aacquisition CCD and ADC time sequence control signal generation module is show in Figure 5. Fig 5 CCD and ADC time sequence control signal generation module diagram How do the CCD sampling data fast transmit to DSP internal SRAM is secondly key design point. Figure 6 give a whole CCD and FIFO control signal FPGA schematic, Lpm_counter1 is used only for simulation be utilized as synchronized FIFO read data clock signal R_clk; from the Fig6 schematic, ADC_StartCtr mode provides ./cache/work_rvkwzwr6mrdpfa3xaatodygocu.pdf ./txt/work_rvkwzwr6mrdpfa3xaatodygocu.txt